加入收藏
 免费注册
 用户登陆
首页 展示 供求 职场 技术 智造 职业 活动 视点 品牌 镨社区
今天是:2024年4月30日 星期二   您现在位于: 首页 →  技术 → 半导体器件(企业故事)
EUV光刻技术回眸:Trumpf、Zeiss和ASML的亲密合作
2024/4/3 14:40:48    
经过三十年发展,新一代光刻机使用波长为13.5纳米的极紫外(EUV)光,可在存储芯片和处理器上制作几纳米大小的硅特征图案。

EUV光刻系统采用最强大的量产激光系统泵浦,拥有超过10万个组件,是有史以来最复杂的机器之一。EUV光刻机总重180吨,耗电量超过1兆瓦。虽然售价高达1.2亿美元,EUV光刻机多年来一直供不应求。

由于包括通快(Trumpf)、蔡司(Zeiss)和阿斯麦(ASML)在内的高科技公司之间的独特联盟,重大技术问题往往都能解决。看一看这项技术杰作的历史,我们就会思考接下来可能会发生什么。


为什么是EUV?


极紫外(有时也称为XUV)表示波长在124-10纳米之间的软x射线或光子能量在10-124eV之间。太阳产生EUV,人类通过同步加速器或等离子体等金属进行分离加速技术创造了所系的EUV光源,并用于半导体芯片的生产制造中。

到目前为止,芯片制造商一直使用紫外光(激光)在涂有光刻胶的硅片上投射复杂的图案。在类似于旧相纸显影的过程中,这些图案被显影并在一层内成为导电或隔离结构。重复这个过程,直到形成集成电路的复杂系统(如CPU)完成。

这种光刻系统的发展是由经济驱动的,需要更多的计算能力和存储容量,同时必须降低成本和功耗。这种发展可以用一个简单的规则来描述——众所周知的摩尔定律,即密集集成电路中的晶体管数量大约每两年翻一番。

一个主要的限制来自光学定律。德国物理学家恩斯特·阿贝发现显微镜的分辨率d(大致)受限于照明所用光的波长λ:

d =λ/(nsin(α)    (1)

其中,n是透镜和物体之间介质的折射率,α是物镜光锥的半角。对于光刻,用数值孔径(NA)代替n sin(α)并在公式中增加一个系数k(因为光刻分辨率可以通过照明技巧进行大幅调整),最小可行结构或临界尺寸(CD)为:

CD = kλ/NA          (2)

这个公式支配着所有的光刻成像过程,它清楚地说明了为什么波长是如此重要的参数。因此,工程师们一直在寻找波长越来越短的光源,以产生越来越小的特征。从紫外汞灯开始,他们转向波长为193纳米的准分子激光器。2003年5月,英特尔宣布下一步将放弃157纳米准分子激光器,转而采用13.5纳米波长的EUV,这让光刻行业大吃一惊。

光学材料的问题被视为主要障碍,EUV当时似乎离成功只有几步之遥。据报道,英特尔研究员兼公司光刻资本设备运营总监Peter Silverman当时提出了一份路线图,显示EUV将于2009年用于32纳米节点。这被证明是过于乐观了,人们不得不寻找利用193纳米光源的方法,通过浸入式光刻和复杂的照明技巧等技术来实现越来越小的特征。


工业用EUV光源


对于EUV光刻来说,必须解决许多问题,首首当其冲的就是一个强大光源。在21世纪初,放电等离子体源(如Xtreme Technologies)似乎最受欢迎,但没过多久,激光产生的等离子体源表明最适合扩大规模,日本、欧洲和美国的研究小组为此努力工作。

最后,圣地亚哥的Cymer公司获得成功,该系统使用二氧化碳激光器从30米的锡液滴中产生EUV辐射。虽然他们在2007年推广了一种相当不稳定的30W电源,但他们在2014年首次展示了如何达到250W,这一数字被视为大规模生产的突破。提高EUV转换过程的效率是一项了不起的应用研究,它让EUV光刻技术变得可行。为了加快进度(并确保其唯一供应商),阿斯麦在2012年收购了Cymer。

最终解决方案是制造足够的EUV辐射用于商业可行性,这台机器甚至让经验丰富的激光专家都印象深刻。它基于有史以来批量生产的最强大的激光器:40千瓦的二氧化碳激光器。整个系统需要1兆瓦的电源。由于只有200W功率的一小部分用于处理晶片,冷却是一个主要问题。

这项技术的唯一供应商是德国迪钦根的Trumpf。Trumpf的所有者兼首席技术官Peter Leibinger非常清楚自己公司的角色:“如果我们失败了,摩尔定律就会终止。当然,世界并不依赖于Trumpf,但如果没有Trumpf,芯片行业就无法实现这一目标,”他在2017年接受采访时表示。

TRUMPF的典型CO2激光器可提供几千瓦的连续波(CW)辐射。这正好适合切割钢材。通快公司为EUV开发了一种激光器,可以产生40千瓦的脉冲辐射,重复频率为50千赫。该激光器非常大,必须放在EUV机器下面的单独地板上。

为了跟上市场需求的步伐,Trumpf公司投入巨资专门为这些激光器建造了一座拥有10个生产车间的全新工厂。用10周时间将它们组装起来,该公司现在每年有50个系统的生产能力。

NXE:3400系统的分辨率约为13纳米;这指的是公式(2)和实际栅极间距。这与芯片制造商经常讨论的“节点”有很大不同。最初,节点指的是晶体管的栅极长度。显然,这可能因工艺而异,因此也因制造商而异。今天,节点仅指芯片制造商开发的某种工艺,并不直接对应于光学器件的分辨率。例如,芯片制造商吹捧7纳米或3纳米节点指的是他们使用类似EUV机器的专有工艺。


合作是关键


虽然总共有1000多家供应商参与,但核心技术是由Trumpf、Zeiss和ASML制造的。他们在EUV项目中发挥了非常规的合作形式。来自Trumpf的Peter Leibinger称其为一家“虚拟合并的公司”,实行开卷政策,并进行广泛的人员和技术交流。

Zeiss表面贴装技术与ASML有着悠久的历史,该公司于1983年为飞利浦生产了第一台光刻光学系统,该业务于1984年剥离并命名为ASML。

Zeiss和ASML一起在EUV之前征服了光刻系统市场。2010年,他们已经拥有约75%的光刻系统市场份额。到目前为止,他们是工业级EUV系统的唯一提供商。为了促进这种关系,ASML在2016年11月以约10亿欧元的价格收购了ZeissSMT 24.9%的股份。此外,ASML承诺为ZeissSMT的R&D项目提供为期六年的支持,提供2.2亿欧元以及5.4亿欧元的投资支持。

Zeiss在EUV投入巨资,急需这笔资金。该公司在德国奥伯科琴附近建立了制造和计量大厅;目前,该公司正在完成具有更高NA的下一代EUV光学器件的准备工作,这是另一项7亿欧元的投资。这包括用于光学系统计量的卡车大小的高真空室。在这些试验箱中测试的镜面最大公差为0.5纳米,因此它们采用了工业上有史以来最精确的对准和计量技术。


180吨工具的最终组装


ZeissSMT拥有一个巨大的高科技工厂,但其规模超过了ASML费尔德霍芬工厂的制造车间。如果你去那里的自助餐厅,你可能会觉得自己是在加州的校园里。许多来自世界各地的年轻人聚集在一起,啜饮他们的拿铁咖啡。这种环境可能反映了这样一个事实:ASML不得不迅速招聘新员工,以跟上快速增长的步伐。2018年,他们的员工人数增长了21%,目前雇佣了800多名博士和7500多名工程师,员工总数达到23000人。

自助餐厅后面的建筑是全球最大的洁净室设施。在五乘五的大厅里,EUV步进机已经完成。目前的顶级型号NXE:3400B重180吨,需要20辆卡车或三架满载的波音747飞机运输。价格标签是1.2亿美元。它每小时可以处理125个晶片,分辨率低至13纳米。

2019年下半年,升级版NXE:3400C发货,它具有更高透射率的光学元件,一个可显著提高可维护性的模块化容器,以及一个更快的掩模版和晶片处理器,以支持更高的生产率。这些设备每小时可生产170片晶圆。


EUV之后是什么?


答案是——EUV。到目前为止,EUV光学NA已经达到了0.33,NXE Next的NA为0.55,分辨率低于8纳米,包含更大的光学元件,这也是ZeissSMT投入大量精力的原因。

由于这些共同努力,该技术被推向了其物理极限,实现了迄今为止无法想象的规格。例如,光刻系统内的晶片被固定在特殊的玻璃板上(所谓的晶片夹具)。它们以高达3g的加速度移动,以低至一纳米的精度保持晶片就位。同时,用EUV光以30kW/m2的热负荷照射晶片,而不会丢失其精确位置。

尽管许多技术问题仍在讨论中,但市场似乎非常相信,在可预见的未来,EUV光刻技术将为半导体行业带来巨大好处。

高钠(high-NA)EUV之后是什么?到目前为止,似乎还没有一个严肃的答案。一方面,几个研究小组正在为更短的波长做准备。德国弗劳恩霍夫协会的两个机构在2016年完成了一个关于“超越EUV”的研究项目。他们研究的是6.7纳米波长的反射涂层(在IOF)和等离子体源(在ILT)。一个瑞士小组在2015年总结了光刻胶研究,纳米图案化的替代方法(如冲压或电子束光刻)正在发展。2017年的“模式路线图”试图讨论更进一步发展。

如果我们从远处看这一发展,似乎光刻技术的复杂性已经达到了可行的最大限度。目前,ASML及其盟友在他们的高科技大教堂里建造的东西展示了我们这个时代最大、最先进的技术系统。虽然这是掌握科学和工程的惊人证据,但它给人留下的印象是,进一步的实质性进展将需要一种完全不同的方法来满足日益增长的数据存储和处理要求。(编译:产通数造)
→ 『关闭窗口』
 -----
 [ → 我要发表 ]
上篇文章:为Al设计的NPU与其他处理器共同加速生成式AI体验
下篇文章:英特尔用于下一代先进封装的玻璃基板(Glass Substrates…
→ 主题所属分类:  半导体器件 → 企业故事
 热门文章
 如何申请EtherCAT技术协会(ETG)会员资格 (166958)
 台北国际计算机展(COMPUTEX 2015)参展商名… (104284)
 上海市集成电路行业协会(SICA) (90637)
 USB-IF Members Company List (82607)
 第十七届中国专利优秀奖项目名单(507项) (74257)
 台北国际计算机展(COMPUTEX 2015)参展商名… (67865)
 苹果授权MFi制造商名单-Authorized MFi Lic… (67154)
 中国130家太阳能光伏组件企业介绍(3) (55205)
 PLC论坛 (52143)
 中国130家太阳能光伏组件企业介绍(2) (48486)
 最近更新
 英特尔用于下一代先进封装的玻璃基板(Glass Subs… (4月29日)
 EUV光刻技术回眸:Trumpf、Zeiss和ASML的… (4月3日)
 为Al设计的NPU与其他处理器共同加速生成式AI体验 (3月9日)
 英特尔为未来数据中心开发的处理器芯片新技术 (2月23日)
 压电技术使手机屏幕直接变成高质量话筒 (2月14日)
 PCB基础知识及设计软件概述 (2月2日)
 国家文化和科技融合示范基地名单(含第五批) (1月24日)
 国家文化和科技融合示范基地认定管理办法(试行) (1月24日)
 隐身衣逐步走进现实:光学隐身衣、电磁波隐身衣 (10月30日)
 电子电路常用电子元件名称缩写及中英文对照 (10月17日)
 文章搜索
搜索选项:            
  → 评论内容 (点击查看)
您是否还没有 注册 或还没有 登陆 本站?!
关于我们 ┋ 免责声明 ┋ 产品与服务 ┋ 联系我们 ┋ About 365PR ┋ Join 365PR
Copyright @ 2005-2008 365pr.net Ltd. All Rights Reserved. 深圳市产通互联网有限公司 版权所有
E-mail:postmaster@365pr.net 不良信息举报 备案号:粤ICP备06070889号